Open Access
20 May 2024 Universal approach for process optimization of chemically amplified photoresists in electron beam lithography
Markus Greul, Astrit Shoshi, Jan Klikovits, Stephan Martens, Ulrich Hofmann, Olga Barahona, Benyamin Shnirman, Leon Starz, Patrick Wintrich, Holger Sailer
Author Affiliations +
Abstract

A critical factor in the fabrication of complex nano- and microstructures with high quality and reproducibility is the determination of a suitable working point. This applies particularly to lithography, which is the basis for transferring the desired patterns onto the substrate. For this reason, we present a generic process optimization methodology that has been successfully applied to four chemically amplified positive and negative tone electron beam lithography photoresists with different sensitivities. The method is iterative and designed for the best possible results with a minimum use of resources. This is accomplished by identifying the critical key factors in photoresist processing using contrast curves and determining their impact. Starting with the most influential bake parameter, the maximum effect is achieved. The method used is similar to the Bossung plot procedure and aims for a maximum process window. After the bake parameters, the fundamentals of development kinetics are discussed, and a method for determining an appropriate development time is presented. A mask making approach is then used to investigate the ideal exposure conditions. This includes the determination of an appropriate base dose in conjunction with proximity effect correction and sizing. The evaluation of this method is demonstrated by critical dimension linearity plots and scanning electron microscope cross sectional analysis of resist profiles. The results presented demonstrate the universality of the optimization approach.

1.

Introduction

Most engineers face the same question when testing, running-in, and fine-tuning electron beam (e-beam) lithography processes: How do we optimize photoresist processing and exposure conditions to obtain acceptable or, ideally, the best possible results? This is evidenced by the number of published studies that address the optimization of bake,13 exposure,46 and development79 conditions for different resists. In these cases, a single parameter or a set of parameters is tuned to improve the quality of the lithographic process and the resulting photoresist features using proven techniques from optical lithography, such as Bossung plots,10 contrast curves,11 and photoresist development models.12 This will always increase the process latitude, but it will either require excessive experiments or fail to optimize the entire process including resist processing. Switching the tool or resist for an optimized process causes different behaviors, thus requiring additional experimental effort for transferring parameter values and matching results. This implies that there is a need to investigate different performance characteristics over a wide parameter space and for mutual correlations to obtain an overall tuned e-beam lithography process, covering bakes, exposure, and development conditions.

However, the results of this study show that such tuning procedures can be performed more efficiently. By investigating a single output parameter and optimizing for critical dimension (CD) stability, various process performance characteristics, such as resolution limit, sidewall roughness, sidewall angle, and CD reproducibility, can be improved simultaneously. This can be proven as the presented approach has already been applied successfully to optimize four chemically amplified positive and negative photoresists with different sensitivities. The procedure considers the entire sequence of processing steps in lithography and simplifies the corresponding multidimensional parameter dependency. This includes the effects of resist spin coating, post-apply bake (PAB), exposure, post-exposure bake (PEB), and development.13,14 First, the impact of bake parameters on the overall process is determined by contrast curves. Then, the results are used to break down the multidimensional optimization problem into a simplified iterative approach. This reduces the number of experiments required considerably and provides a step-by-step solution for efficient and successful bake parameter optimization. Subsequently, the determination of a suitable development time is addressed using development models and CD measurements in resist. Finally, a sufficient approach from mask making is used to determine the ideal exposure dose, proximity effect correction (PEC), and sizing values. In this way, a stepwise instruction for efficient and successful optimization of electron beam lithography processes is provided.

2.

Experimental

2.1.

Design

During the experiments, two different designs were used for the optimization. The resist processing pattern contained base dose variated isolated line (iso-line), isolated space (iso-space), and dense-lines features for cross-section imaging and CD measurements. These ranged from 50 to 500 nm and 10 to 2000 nm, respectively. To create contrast curves, a matrix consisting of 150  μm squares with 49 dose steps was set up for dose dependent resist thickness measurements. All of the features were exposed at multiple positions on the wafer for redundancy and uniformity checks, as described in the earlier publication by Greul et al.15

The design for the PEC optimization incorporated a dose series of features with different background densities, as published by Hofmann et al.16 These features provided data to assess CD performance and subsequently derive PEC parameters for the lithographic process, by applying a dedicated GenISyS TRACER software algorithm. The used base dose variation factor ranged from 0.6 to 1.5 in 0.1 steps, and the exposed and measured pattern background variations were 0%, 20%, 25%, 33%, 40%, 50%, 60%, 66%, 75%, 80%, and 100% for 100, 250, 500, and 1000 nm features.

2.2.

Process Conditions

The experiments were carried out on 150 mm silicon wafer substrates using an automated resist track. First, the RCA-cleaned17 wafers were vapor primed by hexamethyldisilazane at 130°C and actively cooled to room temperature by a cool plate. This was followed by spin coating and post-apply baking of the photoresist. Further, the baked resist layer was exposed on a Vistec SB 352 variable shaped electron beam (VSB) writer with a 50 keV acceleration voltage and a current density of 20  A/cm2. The exposure dose was varied by dwell time. Then, PEB and development with a 2.38% tetramethylammonium hydroxide (TMAH) solution followed. After patterning, the generated resist features were measured and inspected.

2.3.

Metrology

First, the remaining resist thickness for the contrast curves was measured by white light interferometry. Second, the CD measurements of lines and spaces were carried out using an Advantest LWM9000 scanning electron microscope (CD-SEM) by applying automated measurement routines. This enabled reproducible measurements of the features, which were necessary for data evaluation. Third, images of the photoresist cross-sections were taken by a Zeiss LEO 1560 scanning electron microscope (SEM) after data analysis. The samples for cross-section inspection were prepared by cleaving the wafers and gold sputtering the probes to avoid charging effects during imaging.

3.

Bake Parameter Optimization

In process optimization, quantifying the influence of the parameters involved is crucial. A small change in a single critical parameter can have a greater impact on the results than a large change in several less critical parameters. This phenomenon occurs in many fields and is known as the Pareto principle. Thus, optimizing one critical parameter can lead to a greater improvement than optimizing several less critical parameters. Assuming a constant effort per parameter, this minimizes the resources used and provides the best possible efficiency. To characterize the effect of bakes in lithography, contrast curves derived from dose-dependent resist thickness measurements can be used. The remaining thickness describes the different dissolution rates of the photoresist defined by the bake and exposure conditions, as described by Mack et al.11 Fitting models to the data enables an assessment of the resist behavior and the quantification of the response to variations in processing conditions. In traditional Hurter–Driffield-models,18 these occur as changes in, e.g., dose to dark and contrast.

To evaluate the effect of bake conditions on the lithographic process, the bake parameters must be varied from a starting point. A suitable center for the test matrix may be existing operating points or manufacturer recommendations. Investigations on the four resists have shown that a temperature increase and decrease of 20 K (°C) or a duration of 30 to 60 s is sufficient. The temperature should not be lower than 90°C or higher than 140°C as there is a risk with residual solvents that chemical reactions will not take place or that the glass transition temperature of the polymer matrix will be reached. As wafers are processed, only one parameter is varied at a time, while the others are held constant at the selected center point. Here, exposure settings should be chosen so that the reversal area in the contrast curve is within the range of interest and there are enough data points to fit the curve models. This is the only way to ensure that the remaining resist film thickness can be used to extract the parameters describing the photoresist behavior. For this reason, the exposure dose in the contrast curves was varied linearly in 49 steps, ranging from zero (unexposed) to 1.5 times the dose needed to print dense-lines features. Further, repetition of this pattern at five positions on the wafer ensured identification and elimination of possible false readings. After all wafers were measured, the resulting contrast curves were fitted to the models and compared. The changes caused by different PAB and PEB temperatures on the standard resolution positive resist are shown as an example in Fig. 1.

Fig. 1

Influence of (a) the PAB temperature to the photoresist contrast and (b) of the PEB temperature of the standard resolution positive tone resist. The curves show that the PEB temperature has more influence on the overall process than the PAB temperature in the investigated regime. Therefore, PEB temperature control is more critical to this lithographic process and should be optimized first.

JM3_23_2_024601_f001.png

Based on the fits, the quantities describing the turnaround range of the curves were then extracted and used to calculate the impact of the corresponding bake parameter on the process. This was accomplished by determining the absolute maximum change in dose-to-dark and dose-to-clear, or respectively dose-to-gel, caused by every temperature and time variation. The relative influences of all bake parameters were then calculated by relating the corresponding values and averaging the results, as shown in Table 1. In the case of the high resolution positive tone resist, the impact of the bake time was deemed insignificant based on previous investigations of the other resists. This assumption was later confirmed in further experiments.

Table 1

Relative impact of the bake conditions for the four resists in the investigated regimes.

Photoresist typeInfluence PABInfluence PEB
TemperatureDurationTemperatureDuration
Negative: standard resolution21%4%62%13%
Negative: high resolution52%5%38%5%
Positive: standard resolution26%7%61%6%
Positive: high resolution84%16%

A Bossung plot-like procedure was then used to iteratively optimize the bake conditions from the most influential parameter to the least influential parameter. For this purpose, dense-lines, iso-line, and iso-space features were exposed with several doses onto wafers, for the purpose of automated CD-SEM measurements. The wafers were then baked at different temperatures and durations. After development, the created resist features were measured by an automated CD-SEM routine, and the measurement results were plotted. To illustrate the process behavior and the corresponding sizes of possible process windows, a three-dimensional (3D) polynomial function was fitted to the CDs. The corresponding trends were then used to determine a suitable operation point for the bake conditions, similar to the identification of the isofocal condition in the Bossung plot procedure. Based on these plots, it was possible to identify the temperatures and durations that lead to the smallest changes in the CDs and thus provide the maximum process window. A corresponding plot is shown in Fig. 2. The procedure to determine the most suitable operating point is thoroughly described in the publication by Greul et al.15

Fig. 2

Measured feature sizes of dense-lines with a 200 nm target CD at different PAB temperatures and base dose levels in the high resolution positive tone resist. The symbols show the measured feature sizes, and the CD trend is illustrated by a polynomial fit function. Based on the data, feature sizes increase at higher temperatures and process control becomes more difficult above 100°C due to a correspondingly smaller process window. For temperatures below 90°C, CDs become smaller. Therefore, a PAB temperature of 95°C was chosen to achieve an almost temperature-invariant operation point.

JM3_23_2_024601_f002.png

The tests showed that a variation of temperature or time from the center point of ±20  K (°C) in 10 K (°C) steps or ±60  s in 30 s steps is sufficient. Furthermore, it was shown that a dose variation of 30% in 10% steps always leads to good results with an already existing PEC. It should be noted, however, that a corresponding long-range PEC can be determined on a Monte Carlo (MC) simulation basis, as described in Sec. 5, if no PEC is available.

The iterative optimization was repeated successively for each bake parameter until the desired results were accomplished. For the resists studied, the optimization of the temperature was mostly sufficient for achieving a high-resolution limit, low sidewall roughness, steep sidewall angle, and high CD reproducibility. The SEM cross-section images in Fig. 3 show the resist profiles obtained after optimization.

Fig. 3

Dense-lines resolution limit of the optimized lithographic processes: (a) 60 nm dense-lines in the 150 nm thick high resolution negative tone resist15 (image reprinted modified with permission of SPIE), (b) 50 nm dense-lines in the 100 nm thick high resolution positive tone resist, (c) 100 nm dense-lines in the 300 nm thick standard resolution negative tone resist, and (d) 100 nm dense-lines in the 300 nm thick standard resolution positive tone resist.

JM3_23_2_024601_f003.png

However, for optimal performance, the bake duration was also considered to extract the final 10% to 15%. It should also be noted that the iterative method cannot reproduce the multidimensional correlations between the bake parameters, but the approach makes it possible to keep the error so small that it is almost negligible, as shown in the comparison by Greul et al.15 As a result, the presented approach allows for an efficient and successful optimization.

4.

Development Time Determination

In lithography, development reveals the image information stored in the photoresist layer by exposure and bake conditions. This process is typically carried out using isotropic TMAH solutions, which dissolve exposed and unexposed resist molecules at different rates and pattern the resist layer for subsequent processing steps. As resist mask properties may vastly fluctuate at unstable operation points, selecting appropriate development conditions is essential here. How to identify and choose the correct parameters can be illustrated using the development kinetics of the lumped parameter model (LPM).19

The LPM describes the photoresist solubility as a function of the exposure dose. If this dose-dependent development rate and the energy distribution in the resist layer are known, the resulting photoresist structures can be calculated in respect to the development duration.20 As a basis for identifying the appropriate development conditions, the effects of underdevelopment and overdevelopment are shown and explained, using the LPM and the exemplary energy density distribution in the 300 nm thick standard resolution positive tone resist, as shown in Fig. 4. In general, the development process can be divided in three regions: first, the un- or only slightly exposed region with a minimum development rate; second, and most importantly, the mid exposed region with a gradually changing development rate; and third, the highly exposed regions with a maximum development rate. Because the easily soluble region three is only present in the center of exposed patterns, region two, where the development rate varies strongly, defines the edges and thus the CD. Without optimization, small process variations in, e.g., development duration not only can result in relatively large CD changes but also can affect the sidewall angle as well as the roughness of the patterned resist features. Therefore, a suitable working point is important for many different lithographic performance characteristics.

Fig. 4

Correlation of the development rate, exposure dose distribution, and development front in the 300 thick standard resolution positive tone resist: (a) measured contrast curve, (b) and (d) simulated absorbed energy distribution for 200 nm wide iso-space and dense-lines, (c) and (e) simulated developer front propagation into the resist bulk for 200 nm wide iso-space and dense-lines. The target layout is marked by dashed vertical lines plus a red horizontal bar in (b), (c), (d), and (e). In (c) and (e), the discrete arrival times of the development front are indicated by different colors.

JM3_23_2_024601_f004.png

The developer front in e-beam resists can be simulated by determining the dose dependent development rate from contrast curves, as shown in Fig. 4. A few 100 nm thick photoresists exposed with 50 keV acceleration voltage offer the huge technical advantage that there is practically no energy loss from electron absorption,21 which has to be considered. However, it should be noted that individual tool and process related scattering effects22 must be taken into account to obtain the accurate energy distribution and development front propagation in resist.

According to Fig. 4, the development time should be long enough so that the development front can certainly and reproducibly reach the resist bottom for any pattern density. To avoid a negative impact from underdevelopment on the CD control and sidewall angle, a longer development time, or alternatively a higher dose, should be considered. However, it is important to keep the development time as short as possible because longer development durations (overdevelopment) also decrease the resist thickness. This will affect subsequent processing steps as the photoresist mask is degraded. Furthermore, the exposure dose is not a free parameter as stable working points should remain close to the isofocal dose condition (see Sec. 5). Although the change in CDs remains constant for development times above 30 s and correlates with the erosion rate known from contrast curves, the CDs at 15 s development time deviate from this constant rate (see Fig. 5). For a better illustration of the different regions in the graph, the erosion rate and nonlinear development kinetics were fitted and plotted.

Fig. 5

Measured feature sizes of dense-lines with a 200 nm target CD processed at different development durations and base dose levels in the standard resolution positive tone resist. The symbols show the measured feature sizes, and the dashed lines illustrate a nearly linear regime for development durations over 30 s. To also illustrate the bad CD control due to underdevelopment for a duration below 30 s, an exponential growth function was fitted (solid lines).

JM3_23_2_024601_f005.png

From the data shown in the graph, it can be determined that the optimum development time is in the range where the limited growth function approaches its linear limit. In this case, the nonlinear development component saturates at 30  s, which is also the result of the contrast curve based developer front simulations shown in Fig. 4. Further tests with the dense-lines, iso-space, and iso-line patterns present on the wafers have shown that this value is independent of the feature size and structure type. This suggests that depletion and load-dependent effects play a minor role in the development of the 300 nm thick positive tone standard resolution resist. Reasons for this could be the comparatively low resist film thickness and the sufficient amount of developer provided by the double puddle development. Thus, the development time for the process does not need to be extended any further than necessary to ensure that all features are sufficiently developed. As a result, a development time of 30 s can be considered to be optimal for this lithography process.

5.

Isodose Exposure Conditions and Proximity Effect Correction

In e-beam lithography, the feature density has to be taken into account during pattern exposure as electron scattering effects lead to a deposition of a certain dose in nearby areas.23 If this additional dose is not taken into account, CD linearity and uniformity will vary dramatically for different feature types, or even the same feature type in different adjacencies, on the substrate.24 For this reason, PEC algorithms are used during data preparation to calculate the required exposure dose for each feature with respect to nearby structures. These algorithms rely on point spread functions (PSFs) and model proximity effects to adjust the exposure dose for each feature. To achieve the desired design values in resist after development, we used the commercial software from the GenISys GmbH that has been successfully applied for PEC optimization in photomask fabrication using e-beam lithography16 and the optimization of 3D resist topography.25 For the following optimization, we applied the same software packages and merged the PEC and lateral development into a correction model, aiming for the optimal process window with tight CD control. The model calibration was carried out experimentally with test layouts to determine the process blur contrast curves and to consider the layout-dependent lateral development effects. The main idea behind the applied procedure is that the ideal base dose stays close to the isofocal condition and PEC and sizing for lateral development effects are merged into a consistent and computationally efficient correction algorithm. This implemented model-based approach resolves the complex parameter dependency of the base dose, resist contrast, resist thickness, and PSF, while considering the substrate type, layer stack, and acceleration voltage. For chemically amplified resists, it must be noted that existing TRACER fit routines merge all specific effects into one effective process blur fit parameter, simplifying PEB kinetics, not taking base quencher into account, and thus resembling the original LPM.19

To explain the overall procedure in more detail, the applied approach is described stepwise. First, the GenISys TRACER software is used to simulate the electron scattering PSF via Monte Carlo (MC) simulations in the layer stack. Then, the e-beam exposure data for the base dose and pattern density variated layout16 are generated by applying the simulated PSF. The e-beam pattern data are exposed to the resist, and the corresponding features are measured after development. By importing the received CD measurement data with the photoresist contrast curve to TRACER, the basis for model fitting is provided. The process dependent dose-to-clear and contrast values from the contrast curve contribute additional information, which are used to determine the total process blur by fitting the CD response in respect to the base dose and pattern density variations. Thus, the fitted process blur provides the shot-size dependent landing angle distributions from the VSB exposure system plus resist dependent effects, which are inaccessible for pure MC e-beam scattering simulations. By adding the fitted process blur value to the MC-determined PSF, a full PSF for the PEC algorithm is received. During this model based fitting procedure, TRACER also suggests a base dose for exposure, a lateral development bias value per layout density, and the actual density-dependent exposure dose, as shown in Fig. 6. Even though exposure at the suggested base dose from using the TRACER software is not explicitly required, the suggested base dose is closest to the isofocal condition and provides the largest process window. This simulation-aided tuning procedure requires no defocus settings on the e-beam writer for finding the isofocal dose condition, thereby minimizing the experimental effort.

Fig. 6

TRACER model calibration results for the 300 nm thick standard resolution positive tone resist: (a) measured CDs of MC PSF corrected 250 nm features with base dose and pattern density variations. The measurement values are represented by the crosses, and the simulated calibration result are represented by the full lines. In the gray boxes, the optimized base dose and coverage dependent correction bias values from the calibration are shown. (b) The complete PSF featuring the PSF from MC simulation and the fitted process blur.

JM3_23_2_024601_f006.png

Model parameters for each resist type from Table 1 can be stored and imported to the GenISys BEAMER software for PEC and lateral bias corrections on arbitrary layout designs, as shown in Fig. 6. If the most suitable base dose and the process based PSF are determined as described above, no additional improvements are necessary for tuned e-beam exposure. Fitting accuracy in Fig. 6(a) is potentially limited by two effects: (i) metrology-induced resist shrinking that is strongest for 0% pattern density (iso-space is measured too large) and (ii) model simplicity without considering all chemically amplified resist kinetics, which are most pronounced for 100% pattern density at high doses.

For validation, the pattern was re-exposed to the wafers using the suggested base dose. In addition, the 10 to 2000 nm line structures with base dose variation were printed on the wafers to evaluate the corresponding CD linearity. The results of all measured patterns showed significant improvements in terms of CD control as the CD variation with respect to background and shot size decreased for the isofocal dose condition. This improvement was particularly evident in the low iso-dense bias of the CD linearity, as shown in Fig. 7. The CD variations in the iso-lines are a result of shot placement errors, due to two-shot exposure of the features. Thus, the method implemented by Hofmann et al.16 is also sufficient for optimizing e-beam exposure on wafers and provides a way to evaluate the PEC issues described in a previous resist optimization paper.15

Fig. 7

CD linearity of the standard resolution positive tone resist with the TRACER generated full PSF for PEC. The symbols show the measured feature size deviation from the target value and the trend as well as the maximum acceptable target CD variation of ±5% is illustrated by the lines. Interruptions in the solid lines indicate magnification changes during CD-SEM measurement from 200,000× to 100,000× and 50,000×. The resulting magnification induced CD errors were corrected by considering correction values determined by an Arrhenius plot.

JM3_23_2_024601_f007.png

6.

Summary

Based on the results, this study showed a way to optimize an entire e-beam lithography process from bakes to exposure to development with maximum success and minimum experimental effort. The procedure was carried out on four different chemically amplified resists with different tonality and sensitivity values on VSB tools and led to the desired goal in each case. By optimizing the bakes, it was possible to create an optimal image in the resist, which positively affected various lithography performance characteristics, such as resolution limit, sidewall roughness, sidewall angle, and CD reproducibility. In addition, by adjusting the development conditions according to the theory of the LPM, it was possible to minimize dark erosion while keeping CD variations as low as possible. Size variations due to proximity effects during exposure were also addressed based on a previously published experimental approach for mask making, and the positive effects on the achieved CD stability were validated by CD linearity. Thereby, an overall approach for universal photoresist process optimization in e-beam lithography was provided.

Code and Data Availability

Company proprietary information will not be made available, but the manuscript content is consistent with JM3 technical content guidelines.

Acknowledgments

This study was supported by the German Federal Ministry of Education and Research (BMBF project OPALID, funding number: 13N14587). We also would like to thank all colleagues who made this study possible by processing various wafers and setting up measurement routines for the tools. Many thanks to Karin Siegle, Willi Beller, Gabriele Heimpel, Julia Tudarinow, Martin Gulde, Angela Schneider, and Jörg Butschke.

References

1. 

J. M. Rocque et al., “SNR200 chemically amplified resist optimization,” Proc. SPIE, 3048 90 https://doi.org/10.1117/12.275799 PSISDG 0277-786X (1997). Google Scholar

2. 

E. Ainley et al., “Process optimization of a chemically amplified negative resist for electron beam exposure and mask making applications,” Microelectron. Eng., 46 (1–4), 375 –378 https://doi.org/10.1016/S0167-9317(99)00108-2 MIENEF 0167-9317 (1999). Google Scholar

3. 

T. H. Fedynyshyn, “Process optimization of the advanced negative electron beam resist SAL605,” J. Vac. Sci. Technol. B, 8 (6), 1454 https://doi.org/10.1116/1.585096 JVTBD9 1071-1023 (1990). Google Scholar

4. 

C. A. Sauer and C. A. Mack, “Electron-beam lithography simulation for maskmaking: IV. Effect of resist contrast on isofocal dose,” Proc. SPIE, 3748 27 –40 https://doi.org/10.1117/12.360220 PSISDG 0277-786X (1999). Google Scholar

5. 

A. Raghunathan, J. G. Hartley and N. Crosland, “Bossung curves and focus stigmation matrices for Gaussian beam lithography,” Microelectron. Eng., 88 (8), 2105 –2108 https://doi.org/10.1016/j.mee.2011.02.108 MIENEF 0167-9317 (2011). Google Scholar

6. 

K. Keil et al., “Determination of best focus and optimum dose for variable shaped e-beam systems by applying the isofocal dose method,” Microelectron. Eng., 85 (5–6), 778 –781 https://doi.org/10.1016/j.mee.2008.01.042 MIENEF 0167-9317 (2008). Google Scholar

7. 

D. Lauvernier et al., “Optimization of HSQ resist e-beam processing technique on GaAs material,” Microelectron. Eng., 75 (2), 177 –182 https://doi.org/10.1016/j.mee.2004.05.002 MIENEF 0167-9317 (2004). Google Scholar

8. 

Y. Chen, H. Yang and Z. Cui, “Effects of developing conditions on the contrast and sensitivity of hydrogen silsesquioxane,” Microelectron. Eng., 83 (4–9), 1119 –1123 https://doi.org/10.1016/j.mee.2006.01.167 MIENEF 0167-9317 (2006). Google Scholar

9. 

M. A. Mohammad et al., “Study of development processes for ZEP-520 as a high-resolution positive and negative tone electron beam lithography resist,” Jpn. J. Appl. Phys., 51 06FC05 https://doi.org/10.1143/JJAP.51.06FC05 (2012). Google Scholar

10. 

J. W. Bossung, “Projection printing characterization,” Proc. SPIE, 0100 80 –85 https://doi.org/10.1117/12.955357 PSISDG 0277-786X (1977). Google Scholar

11. 

C. A. Mack, S. Jug and D. A. Legband, “Data analysis for photolithography,” Proc. SPIE, 3677 415 –434 https://doi.org/10.1117/12.350829 PSISDG 0277-786X (1999). Google Scholar

12. 

C. A. Mack, “Development of positive photoresists,” J. Electrochem. Soc., 134 (1), 148 –152 https://doi.org/10.1149/1.2100396 JESOAN 0013-4651 (1987). Google Scholar

13. 

E. Reichmanis et al., “Chemically amplified resists: chemistry and processes,” Adv. Mater. Opt. Electron., 4 (2), 83 –93 https://doi.org/10.1002/amo.860040205 AMELE7 1057-9257 (1994). Google Scholar

14. 

R. O. Lussow, “Photoresist materials and applications,” J. Vac. Sci. Technol., 6 (1), 18 –24 https://doi.org/10.1116/1.1492615 JVSTAL 0022-5355 (1969). Google Scholar

15. 

M. Greul et al., “Multidimensional process optimization of a negative e-beam photoresist for silicon-waveguide manufacturing,” Proc. SPIE, 11612 116120I https://doi.org/10.1117/12.2582491 PSISDG 0277-786X (2021). Google Scholar

16. 

U. Hofmann et al., “Novel method to find the best process point in e-beam mask making,” Proc. SPIE, 11908 119080M https://doi.org/10.1117/12.2604372 PSISDG 0277-786X (2021). Google Scholar

17. 

W. Kern, “The evolution of silicon wafer cleaning technology,” J. Electrochem. Soc., 137 (6), 1887 –1892 https://doi.org/10.1149/1.2086825 JESOAN 0013-4651 (1990). Google Scholar

18. 

T. A. Brunner, “Relationship between the slope of the HD curve and the fundamental resist process contrast,” J. Vac. Sci. Technol. B: Microelectron. Nanometer Struct. Process. Meas. Phenom., 17 (6), 3362 –3366 https://doi.org/10.1116/1.591138 (1999). Google Scholar

19. 

J. D. Byers, M. D. Smith and C. A. Mack, “Lumped parameter model for chemically amplified resists,” Proc. SPIE, 5377 1462 –1474 https://doi.org/10.1117/12.537583 PSISDG 0277-786X (2004). Google Scholar

20. 

C. A. Mack, “Enhanced lumped parameter model for photolithography,” Proc. SPIE, 2197 501 –510 https://doi.org/10.1117/12.175444 PSISDG 0277-786X (1994). Google Scholar

21. 

J. Klikovits et al., “3D-MC modelling of particle contamination induced defects in ebeam mask writing,” Proc. SPIE, 11855 1185503 https://doi.org/10.1117/12.2600956 PSISDG 0277-786X (2021). Google Scholar

22. 

K. Keil et al., “Resolution and total blur: correlation and focus dependencies in e-beam lithography,” J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom., 27 (6), 2722 –2726 https://doi.org/10.1116/1.3246365 (2009). Google Scholar

23. 

T. H. P. Chang, “Proximity effect in electron-beam lithography,” J. Vac. Sci. Technol., 12 (6), 1271 –1275 https://doi.org/10.1116/1.568515 JVSTAL 0022-5355 (1975). Google Scholar

24. 

U. Hofmann, R. Crandall and L. Johnson, “Fundamental performance of state-of-the-art proximity effect correction methods,” J. Vac. Sci. Technol. B Microelectron. Nanometer Struct. Process. Meas. Phenom., 17 (6), 2940 –2944 https://doi.org/10.1116/1.590929 (1999). Google Scholar

25. 

J. Erjawetz et al., “Bend the curve – shape optimization in laser grayscale direct write lithography using a single figure of merit,” Micro Nano Eng., 15 100137 https://doi.org/10.1016/j.mne.2022.100137 (2022). Google Scholar

Biography

Markus Greul received his master’s degree in mechanical engineering from the University of Stuttgart in 2019. Since 2020, he has been employed at IMS CHIPS to work toward his PhD. His primary responsibilities at the company include process development and optimization for the fabrication of photonics devices. This involves deposition, etching, and, especially, the optimization of electron beam lithography for device patterning.

Jan Klikovits received his master’s and PhD degrees in physics from the Vienna University of Technology in 2004 and 2008, respectively. In 2008 he joined IMS Nanofabrication focusing on the development of writer corrections for multibeam technology. In 2017, he joined AMTC Dresden as an electron beam expert in the field of variable-shaped beam writers. Since 2022, he has been employed at GenISys GmbH as the company’s technology specialist in the field of lithography modeling.

Biographies of the other authors are not available.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 International License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Markus Greul, Astrit Shoshi, Jan Klikovits, Stephan Martens, Ulrich Hofmann, Olga Barahona, Benyamin Shnirman, Leon Starz, Patrick Wintrich, and Holger Sailer "Universal approach for process optimization of chemically amplified photoresists in electron beam lithography," Journal of Micro/Nanopatterning, Materials, and Metrology 23(2), 024601 (20 May 2024). https://doi.org/10.1117/1.JMM.23.2.024601
Received: 8 February 2024; Accepted: 3 May 2024; Published: 20 May 2024
Advertisement
Advertisement
KEYWORDS
Photoresist materials

Critical dimension metrology

Photoresist developing

Photoresist processing

Electron beam lithography

Semiconducting wafers

Lithography

Back to Top