Presentation + Paper
28 March 2017 Overlay statistics for multiple exposure patterning
Author Affiliations +
Abstract
Multiple exposure patterning is now a main-stream method used in the manufacturing of modern integrated circuits.1 However, the overlay statistics of these multiple exposure schemes has not been well understood. Splitting levels into multiple exposures (2x, 3x, etc. patterning) has a quantifiable effect on how overlay metrology relates to overlay process assumptions and capability. In addition, overlay metrology strategies used to measure a current layer back to a layer exposed with multiple exposures can also misrepresent the true overlay error. In this paper we look statistically at the effect of multiple exposures on the actual overlay error and compare it to that measured with overlay metrology.

Process assumptions (PAs) typically document the requirements between a current layer and a prior layer.2 With single exposure layers the process assumption for overlay error of a current layer to a prior layer could be set knowing an exposure tool’s on product overlay capability, error sources for the layers involved, maximum acceptable rework rate and yield-loss the fab was able to accept. For a current layer that minimizes back to a prior layer that was exposed with multiple exposures, there are new sources of error to understand and consider.3 Understanding how these process assumptions relate to the capability of simple single layer to single layer overlay is desirable. This paper takes a new approach to calculating these relationships by using image-placement error and population statistics. This is different than former methods discussed in the literature that look at “2nd order” overlay calculations. We show that base single layer to single layer process capability needs to be tighter than process assumption of a current layer minimizing back to multiple prior layers with the specific amount of tightening directly related to the mean overlay error between multipatterned layers. Because of this, mean overlay specifications have to be set appropriately at prior layers to match process assumptions. As an example, if a contact layer is split into two exposures, the mean translation error between the two exposures needs to be minimized for good metal to contact overlay. This paper will describe the exact controls needed based on the new statistical understanding.

Setting ground-rules based on overlay PAs that are correctly determined, using the image placement and population statistics, is critical. Without the proper statistical understanding, it can be concluded that single layer to single layer overlay capability cannot support a technology using multiple exposures, resulting in increased die areas as ground-rules are relaxed for 2nd order calculations incorrectly applied to the problem. Of course, the opposite is true if control of the mean overlay error of prior layers cannot be adequately controlled.

Through statistical analysis, we show that grouped overlay metrology of multiple exposures underestimates the true overlay error. This is due to the point-by-point averaging of layers that have been split into multiple exposures. Fortunately, the ratio between metrology and true overlay can be exactly calculated.
Conference Presentation
© (2017) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Allen H. Gabor and Nelson M. Felix "Overlay statistics for multiple exposure patterning", Proc. SPIE 10147, Optical Microlithography XXX, 1014712 (28 March 2017); https://doi.org/10.1117/12.2258682
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Overlay metrology

Error analysis

Optical lithography

Semiconducting wafers

Statistical analysis

Critical dimension metrology

Metrology

Back to Top