The eBeam Initiative completed its 12th annual opinion survey in July 2023 with anonymous feedback from industry luminaries representing 47 companies from across the semiconductor ecosystem – including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research. Started in 2012, the Luminaries Survey is used each year to gather predictions of industry trends. 80 percent of survey respondents in 2023 believe that broad adoption of high-NA EUV lithography in high-volume manufacturing (HVM) by more than one company will occur by 2028, the same percentage as reported in last year’s survey. In another trend that was repeated from last year’s survey, confidence that leading-edge mask shops can handle curvilinear mask demand doubled in the 2023 results compared to 2022, while 87 percent predicted that leading-edge mask shops can handle at least a limited number of curvilinear masks. New questions were added to the 12th annual Luminaries Survey to gauge perceptions on EUV and non-EUV leading-edge masks and patterning. 70 percent say that curvilinear inverse lithography technology (ILT) is useful for non-EUV leading-edge nodes, while 75 percent agree that it’s needed for 2-nm, 0.33 NA EUV. The percentage of EUV masks inspected by actinic inspection is predicted to double in three years, from a weighted average of 30 percent in 2023 to 63 percent in 2026. In addition, 95 percent agree that multi-beam mask writers are needed to write EUV masks.
The eBeam Initiative completed its 11th annual opinion survey in July 2022 with anonymous feedback from industry luminaries representing 44 companies from across the semiconductor ecosystem – including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research. Started in 2012, the Luminaries Survey is used each year to gather predictions of industry trends. 2022 survey results reflect that EUV is fueling the photomask industry as 78 percent of survey respondents believe that EUV lithography will contribute to photomask (mask) revenue growth. EUV remains the top reason cited by survey respondents for purchasing multi-beam mask writers. Access to multi-beam mask writers is seen as less of a barrier to curvilinear mask making compared to the 2021 survey. Confidence among luminaries in curvilinear mask making remains high, with 76 percent of respondents indicating that leading-edge mask shops can handle at least a limited number of such masks. With EUV providing the fuel, optimism for overall photomask market growth continued in 2022 with 70 percent of survey respondents predicting that mask revenues in 2022 will increase compared to 2021 revenues.
The eBeam Initiative completed its 10th annual opinion survey in July 2021 with anonymous feedback from industry luminaries representing 44 companies from across the semiconductor ecosystem – including photomasks, electronic design automation (EDA), chip design, equipment, materials, manufacturing and research. Started in 2012, the Luminaries survey is used each year to gather predictions of industry trends. The optimism for overall photomask market growth captured in the 2020 survey continued to increase in the 2021 survey. 72 percent of survey respondents predict that mask revenues in 2021 will increase compared to 2020 revenues, while 74 percent believe that EUV will contribute to mask revenue growth. EUV also remains the top reason cited by respondents for purchasing multi-beam mask writers. 90 percent of respondents believe that purchases of multi-beam mask writers will grow over the next three years, while purchasing sentiment for laser mask writers and variable shaped beam (VSB) eBeam mask writers has increased compared to last year’s survey. Confidence in curvilinear mask making is also high according to a new survey question, with 71 percent indicating that leading-edge mask shops can handle at least a limited number of such masks. Taken together, the survey results reflect optimism for both business growth and technology adoption in the photomask market.
The eBeam Initiative has conducted an annual survey of industry luminaries for the past nine years to gather predictions of industry trends. The Luminaries survey was completed in July 2020. At the same time, the eBeam Initiative completed its sixth annual Mask Makers survey with anonymous feedback from 10 captive and merchant photomask manufacturers. Taken together, the surveys in 2020 reflected that the semiconductor mask-making industry is going through transitions on multiple fronts at the same time yet are upbeat about the photomask market outlook.
Captive and merchant mask makers participated in an anonymous survey in the summer of 2020, despite the challenges of the global COVID-19 pandemic, to capture the profile of the mask industry for the period of July 2019 through June 2020. The eBeam Initiative’s sixth Mask Makers Survey in 2020 covers a number of questions related to the profile of the mask industry, from overall number of masks to pattern generation type. The survey respondents – 10 different captive and merchant photomask manufacturers versus those who participated in last year’s survey – reported 558,834 masks this year. Among the results of the Mask Makers survey, the number of masks written with multi-beam writers more than doubled versus last year’s survey, while the average mask write time reported using multi-beam writers (which was recorded for the first time in this year’s survey) was 12.14 hours. In addition, EUV mask yield was reported at 91 percent. The use of mask process correction (MPC) increased at leading-edge ground rules (nearly tripling for sub- 16-nm ground rules). The eBeam Initiative also conducts an annual survey of industry luminaries which can be found at www.ebeam.org.
Captive and merchant mask makers participated in an anonymous survey in the summer of 2019 to capture the profile of the mask industry for the period of July 2018 through June 2019. The eBeam Initiative’s fifth Mask Makers’ Survey in 2019 covers a number of questions related to the profile of the mask industry, from overall number of masks to pattern generation type. The survey respondents – 11 different captive and merchant photomask manufacturers versus those who participated in last year’s survey – reported 599,536 masks this year. Respondents reported that eBeam variable shaped beam (VSB) wrote 30% of the masks they produced this past year with an average VSB mask write time of 8.64 hours. The use of Multi-beam mask writing was affirmed in this year’s survey results. Overall mask yields remain steady at around 94% and EUV mask yield was reported at 74%. The eBeam Initiative also conducts an annual Perceptions Survey of mask industry luminaries which can be found at www.ebeam.org.
Captive and merchant mask makers participated in an anonymous survey in the summer of 2018 to capture the profile of the mask industry for the period of July 2017 through June 2018. The eBeam Initiative’s fourth Mask Makers’ Survey in 2018 covers a number of questions related to the profile of the mask industry, from overall number of masks to pattern generation type. The survey respondents – the same 10 captive and merchant photomask manufacturers who participated in last year’s survey – reported a 27% overall increase in mask output this year as compared to last. Increases were reported in numbers of masks produced at every ground rule, but ≥5nm and <7nm, ≥16nm and <22nm, and ≥65nm and <90nm were particularly pronounced in percentage increases year over year. Respondents reported that lasers wrote 74% of the masks they produced this past year. Overall mask yields have remained steady at 94% over the last two years. The eBeam Initiative also conducts an annual Perceptions Survey of mask industry luminaries which can be found at www.ebeam.org.
The eBeam Initiative completed its third annual mask makers’ survey in 2017 with anonymous feedback from 10 captive and merchant photomask manufacturers. Participation in the 2017 eBeam Initiative perceptions survey increased to more than 40 different companies versus 30 companies the previous year. In 2017, 75 industry luminaries responded from across the semiconductor ecosystem.
Captive and merchant mask makers participated in an anonymous survey in the summer of 2017 to capture the profile of the mask industry for the period of July 2016 through June 2017. A mask industry survey has been conducted for the 15th time in the past 16 years. Sematech ran the mask industry survey for 13 years through 2013. In 2015, the eBeam Initiative invested in reviving a subset of the survey called the Mask Maker Survey. The eBeam Initiative’s third Mask Maker Survey in 2017 covers a number of questions related to the profile of the mask industry, from overall number of masks to pattern generation type. The survey addresses questions about data preparation, writing and delivery times. Mask yields and returns are captured along with a new question on the usage of mask process correction (MPC) by ground rules. The eBeam Initiative also conducts an annual Perceptions Survey of mask industry luminaries.
A record 73 industry luminaries representing more than 30 different companies from across the semiconductor
ecosystem participated in the 2016 eBeam Initiative perceptions survey. The eBeam Initiative also completed its second
annual mask makers’ survey with feedback from 10 captive and merchant photomask manufacturers.
Among the results of the members’ perception survey, respondents remained optimistic in the implementation
of EUV lithography for semiconductor high-volume manufacturing (HVM), with confidence in EUV increasing over
other next-generation lithography (NGL) techniques compared with last year’s survey. In addition, expectations on the
use of multi-beam technology for advanced photomask HVM by the end of 2018 continue to remain strong. Results from
the eBeam Initiative’s second annual mask makers’ survey indicate several surprising trends on mask making related to
write times, turn-around time (TAT) for mask manufacturing, resists, mask yields and other critical issues. The author will
review the key findings and offer his viewpoint on their significance.
As has been the case for many years and for the near future down to the 7-nm logic node, electron-beam (eBeam) mask
writing is pushing the limits of acceptable write times and quality. The eBeam community has responded to these
challenges through innovation, engineering and collaboration. In 2009, the eBeam Initiative was launched to provide a
stronger voice and educational platform for eBeam technology within the photomask and semiconductor design and
manufacturing ecosystem. For the fourth consecutive year, as the photomask community heads to the annual
SPIE/BACUS Photomask Symposium in Monterey, the eBeam Initiative has conducted a survey on photomask-related
trends and perceptions. This annual perceptions survey has been instrumental in confirming key areas to align the
Initiative’s efforts on to guide the industry ecosystem forward in supporting the introduction of new eBeam technologies.
At the request of the community, an additional mask survey was conducted this year by the eBeam Initiative to include
trends on key mask metrics that help serve as a barometer for mask makers. The complete results of both surveys are
available for download at www.ebeam.org. Below is a summary and analysis of the key findings.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.