The enhancement in chemical gradients between the EUV exposed and unexposed areas can generate a wider process window, possibly, a smaller stochastic defectivity, and a lower local CD uniformity in EUV resists. This enhancement, in turn, helps to overcome the challenge of the small process window in high NA EUV lithography. In this work, a new concept resist, which is developed based on our chemical gradient enhancement technique model, is used to drive the chemical gradient upward chemically. The resist also has the capability of absorbing UV selectively at EUV exposed areas. Therefore, the UV flood exposure system, which has been discussed in Photosensitized Chemically Amplified ResistTM (PSCARTM), is used as another key part to further enhance the new resist. The new concept resist with UV lights was confirmed to give 15.1% improvement in its EUV sensitivity and, simultaneously, 25.0% improvement in local CD uniformity. This technique might be one of the solutions to bring CAR resist further into high-NA EUV lithography.
Flood Exposure Assisted Chemical Gradient Enhancement Technology (FACET) is introduced for improvement in EUV resist resolution, process control, roughness, patterning failure and sensitivity. Experimental EUV exposure latitude was enhanced (~1.5 times) with FACET using the assist of UV flood exposure. The mechanism of the process window improvement by FACET is explained by non-linear resist coloring (enhancement of UV absorption) vs. EUV exposure dose to enhance acid image contrast during UV flood exposure. To balance chemical gradient enhancement and stochastic effects, Stochastic Aware Resist Formulation and Process optimizer (SARF-Pro) with a fast stochastic simulation model is created. SARF-Pro predicts stochastic patterning failure risks, and optimizes resist formulation and processes by putting emphasis on stochastic variation across patterns. Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 with FACET and standard chemically amplified resist (CAR) optimized in SARF-Pro suggests that PSCAR 2.0 with FACET has the potential of better process window, roughness, sensitivity and, we hypothesize, reduced risk of stochastic defects compared with standard CAR.
Resist Formulation Optimizer (RFO) is created to optimize resist formulation under EUV stochastic effects. Photosensitized Chemically Amplified ResistTM (PSCARTM) 2.0 reaction steps are included in the resist reaction model in RFO in addition to standard Chemically Amplified Resists (CAR) reaction steps. A simplified resist roughness calculation method is introduced in RFO. RFO uses “fast stochastic resist model” which uses continuous model information for stochastic calculation. “Resist component’s dissolution inhibition model” is also introduced for better prediction of different resist formulations in RFO. The resist component’s dissolution inhibition model is used for calculation of both Dissolution Inhibition Slope (DIS) and Dissolution Inhibition Deviation (DID). By dividing DID by DIS at a pattern edge, Line Edge Roughness (LER) can be predicted. The RFO performance is validated to give low residual errors after calibration even for different resist formulations. RFO is designed to optimize the resist formulation to minimize resist roughness as a cost function with keeping target CD. RFO suggests that PSCAR 2.0 with Polarity Switching photosensitizer precursor (POLAS) in combination with photosensitizer (PS) image enhancement may provide reduced resist roughness. Simulations using a calibrated rigorous stochastic resist model for S-Litho show a good prediction of PSCAR 2.0 process performance.
Photosensitized Chemically Amplified ResistTM (PSCARTM) has been demonstrated as a promising solution for a high sensitivity resist in EUV lithography mass production. This paper describes the successful calibration of a PSCAR resist model for deployment within rigorous lithography process simulation, capturing continuum as well as stochastic effects. Verification of the calibrated model parameters was performed with new patterns or with new resist formulations with good agreement. The reduction of required EUV dose of PSCAR resist while maintaining similar roughness levels have been achieved both from experimental result and from simulated result. The simulation of PSCAR continues to be a great tool for understanding, predicting, and optimizing the process of PSCAR.
In order to lower the cost of ownership of EUV lithography, high sensitivity EUV resists , enabling higher throughput of EUV scanners are being explored. The concept that utilizes a Photosensitized Chemically Amplified ResistTM (PSCARTM) is a promising solution for achieving increased resist sensitivity, while maintaining other high performance characteristics of the material (i.e., resolution, line edge roughness (LER), exposure latitude). PSCAR uses a UV exposure after EUV exposure and selective absorption to meet these goals . Preliminary results have been discussed in previous papers 1-8.
PSCAR utilizes an area-selective photosensitization mechanism to generate more acid in the exposed areas during a UV exposure. PSCAR is an attempt to break the resolution, line-edge-roughness, and sensitivity trade-off (RLS trade-off) relationships that limit standard chemically amplified resists. The photosensitizer, which is generated in exposed area by a photoacid catalytic reaction, absorbs the UV exposure light selectively and generates additional acid in the exposed area only.
Material development and UV exposure uniformity are the key elements of PSCAR technology for semiconductor mass fabrication. This paper will review the approaches toward improvement of PSCAR resist process robustness. The chemistry’s EUV exposure cycle of learning results from experiments at imec will be discussed.
Photosensitized Chemically Amplified ResistTM (PSCARTM) **2.0’s advantages and expectations are reviewed in this paper. Alpha PSCAR in-line UV exposure system (“Litho Enhancer”) was newly installed at imec in a Tokyo Electron Ltd. (TELTM)’s CLEAN TRACKTM LITHIUS ProTM Z connected to an ASML’s NXE:3300. Using the Litho Enhancer, PSCAR 2.0 sensitization preliminary results show that suppression of roughness enhancement may occur while sensitivity is increased. The calibrated PSCAR 2.0 simulator is used for prediction of resist formulation and process optimization. The simulation predicts that resist contrast enhancement could be realized by resist formulation and process optimization with UV flood exposure.
A new type of Photosensitized Chemically Amplified Resist (PSCAR) **: “PSCAR 2.0,” is introduced in this paper. PSCAR 2.0 is composed of a protected polymer, a “photo acid generator which can be photosensitized” (PS-PAG), a “photo decomposable base (quencher) which can be photosensitized” (PS-PDB) and a photosensitizer precursor (PP). With this PSCAR 2.0, a photosensitizer (PS) is generated by an extreme ultra-violet (EUV) pattern exposure. Then, during a subsequent flood exposure, PS selectively photosensitizes the EUV exposed areas by the decomposition of a PS-PDB in addition to the decomposition of PS-PAG. As these pattern-exposed areas have the additional acid and reduced quencher concentration, the initial quencher loading in PSCAR 2.0 can be increased in order to get the same target critical dimensions (CD). The quencher loading is to be optimized simultaneously with a UV flood exposure dose to achieve the best lithographic performance and resolution. In this work, the PSCAR performance when different quenchers are used is examined by simulation and exposure experiments with the 16 nm half-pitch (HP) line/space (L/S, 1:1) patterns. According to our simulation results among resists with the different quencher types, the best performance was achieved by PSCAR 2.0 using PS-PDB with the highest possible chemical gradient resulting in the lowest line width roughness (LWR). PSCAR 2.0 performance has furthermore been confirmed on ASML’s NXE:3300 with TEL’s standalone pre-alpha flood exposure tool at imec. The initial PSCAR 2.0 patterning results on NXE:3300 showed the accelerated photosensitization performance with PS-PDB. From these results, we concluded that the dual sensitization of PS-PAG and PS-PDB in PSCAR 2.0 have a potential to realize a significantly improved resist performance in EUV lithography.
The development of double patterning processes/schemes are widely in progress for 2x nm node and beyond by using
193nm immersion lithography. It is realized that a resist shrink step is necessary in many double patterning process cases
due to the resolution limit of the 193nm immersion exposure tool.
As the development work progresses into the mass-product transition phase, the requirement for technical performances
has become more difficult to be achieved by existing resist shrink technologies.
In order to overcome these difficulties, we have developed "wet slimming" process based on our coater/developer
technologies including the platform. The process is optimized for CD uniformity and defectivity. The process also has
good robustness to the various possible resist materials and/or exposure conditions used by industry.
In this paper, we introduce the scheme of wet slimming process together with basic performance data such as CD
controllability, CD uniformity, defectivity and I-D bias. The evaluation data on actual double patterning processed
wafers is reported as well.
Critical dimension uniformity (CDU) has both across field and across wafer components. CD error generated by across
wafer etching non-uniformity and other process variations can have a significant impact on CDU. To correct these across
wafer systematic variations, compensation by exposure dose and/or post exposure bake (PEB) temperature have been
proposed. These compensation strategies often focus on a specific structure without evaluating how process
compensation impacts the CDU of all structures to be printed in a given design.
In one previous study limited to a single resist and minimal coater/developer and scanner variations, the authors
evaluated the relative merits of across wafer dose and PEB temperature compensation on the process induced CD bias
and CDU. For the process studied, it was found that using PEB temperature to control CD across wafer was preferable to
using dose compensation. In another previous study, the impact of resist design was explored to understand how resist
design, as well as coater/developer and scanner processing, impact process induced bias (PIB). The previous PIB studies
were limited to a single illumination case and explore the effect of PIB on only L/S structures.
It is the goal of this work to understand additionally how illumination design and mask design, as well as resist design
and coater/developer and scanner processing, impact process induced bias (PIB)/OPC integrity.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.