PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
TOPICS: Video, Video processing, Graphite oxide, Extreme ultraviolet lithography, Quality control, Process modeling, Modeling, Mathematics, Lithography, Digital video recorders
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
JM3 Associate Editor Erik Hosler of PsiQuantum LLC interviewed Daniel Schmidt of the IBM Thomas J. Watson Research Center about his recent work with in-line Raman spectroscopy (“In-line Raman spectroscopy for gate-all-around nanosheet device manufacturing,” published in the April-June 2022 issue of the journal).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
JM3 Associate Editor Emily Gallagher, a principal researcher at imec, interviews Andreas Erdmann, head of the Fraunhofer IISB Computational Lithography and Optics Group. With Hazem Mesilhy and Peter Evanschitzky, Erdmann is the lead author of “ Attenuated phase shift masks: a wild card resolution enhancement for extreme ultraviolet lithography?,” a review paper in the April-June 2022 issue of the Journal of Micro/Nanopatterning, Materials, and Metrology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Resolution and depth-of-focus (DOF) formulas in projection imaging are reviewed with the help of the three-dimensional point-spread function (3D PSF). The Debye integral is rigorously evaluated for the non-paraxial situation to give a closed-form expression for the axial 3D PSF from which the validity of DOF formula k3λ / sin2 ( θ / 2 ) is confirmed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Special Section on Non-chemically Amplified Resists for EUV Lithography
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Background: Metal-containing resists entered the mainstream semiconductor industry process flow to mitigate the low absorbance of extreme ultraviolet (EUV) radiation by thin films of organic resists that lead to poor sensitivity and their inability to handle rigors of development and etching conditions.
Aim: The long and rich history of using metal-containing resists in electron beam lithography can offer interesting lessons, pointers, and insights to the relatively newcomer EUV lithography, which is slightly over a decade old.
Approach: Electron beam lithography has been enjoying a considerable amount of freedom in the choice of resist materials for close to 50 years; especially the use of metal-containing resists to attain not only single digit nanometer resolution, higher sensitivity, and etch resistance but also lower line-edge roughness. Here, we make a comprehensive historical review of the progress made in the patterning of metal-containing resists in electron beam lithography and derive insights that can be potentially useful in EUV patterning.
Perspectives: Small molecular weight resists are proven to be crucial for achieving higher resolution with low line-edge roughness. Simplifying process flow by reducing etch-stack-layers is conceivable with metal-containing resists, along with direct-patterning of functional materials for heterogeneous integration. Efficient contact hole patterning at tighter pitches may be incumbent on progress in positive-tone resist research.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Background: Non-chemically amplified resist (n-CAR) shows great potential as a unique lithographic material because it avoids some disadvantages of CAR, such as post-exposure instability and acid diffusion. Furthermore, since toxic and flammable developers are widely used in semiconductor manufacturing, the implementation of innovative environmentally friendly water-developable photoresists is of interest.
Aim: A unique n-CAR, which could be developed with an environmentally friendly developer, was prepared for electron beam (e-beam) lithography (EBL) and extreme ultraviolet lithography (EUVL).
Approach: A polymer containing radiation/photosensitive sulfonium triflate group (PSSF) was synthesized and characterized by infrared, H1 NMR, and gel permeation chromatography. The lithography performance of the PSSF photoresist was evaluated by EBL and EUVL. The patterns were analyzed with scanning electron microscope and atomic force microscope.
Results: The PSSF photoresist can be used in EBL and EUVL. Post-exposure bake had no significant effect on the resolution of photoresist. Development in water should be kept at an appropriate time of 30 s to obtain the repeatable and high-resolution patterns. It shows a similar sensitivity to polymethyl methacrylate but higher contrast.
Conclusions: The PSSF acts as n-CAR, and 20 nm line patterns and 35 nm 1:1 line/space patterns were achieved in EBL and EUVL, respectively. It can be developed in pure water with high contrast (γ = 5.49).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
TOPICS: Extreme ultraviolet lithography, Selenium, Electron beam lithography, Monte Carlo methods, Metals, Mercury, Molecules, Chemical species, Photomicroscopy, Absorption
A new class of negative-tone resist materials has been developed for electron beam and extreme ultraviolet lithography. The resist is based on heterometallic rings. From initial electron beam lithography studies, the resist performance demonstrated a resolution of 40-nm pitch but at the expense of a low sensitivity. To improve the sensitivity, we incorporated HgCl2 and HgI2 into the resist molecular design. This dramatically improved the resist sensitivity while maintaining high resolution. This improvement was demonstrated using electron beam and extreme ultraviolet lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Metal oxide photoresists (MORs) have received attention for use in extreme ultraviolet (EUV) lithographic patterning, in which more established chemically amplified resists suffer from long blur lengths and low EUV absorptivity. To meet the demand for next-generation semiconductor devices, a thorough understanding of the physics behind MOR patterning is required to predict the outcome of arbitrary patterning schemes and to determine optimal process conditions. However, the ability to model and predict MORs through bake and development is still in early stages. We provide a modeling framework and associated analytical expressions that describe the behavior of MORs that have so far been described by kinetic Monte Carlo simulation approaches. We further extend the aforementioned analytical expressions to directly predict dose-to-gel transition energies for wet-developed MORs for arbitrary bake temperature and bake duration. Finally, we briefly compare our methodology using an in-house simulation program to patterning results from an experiment using Fractilia MetroLER™.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A negative tone heterometallic ring resist (HRR) based on a supramolecular assembly [ NH2(allyl)2 ] [ Cr7NiF8(piv)16 ] with previously demonstrated resolution down to sub 10-nm lines is evaluated in terms of its flexibility to be processed either “wet” (spin cast and solvent developed) or “dry” (deposition and development by vacuum sublimation). The implemented sublimation hardware fits easily in the wafer load-lock chamber of extreme ultraviolet and electron beam exposure systems dedicated to research and development activities and allows for HRR films to be uniformly deposited or developed in the same vacuum environment. The HRR shows a sublimation rate dependence on temperature that obeys a Clausius–Clapeyron relation, with thermal stability up to 275°C. Flood exposures of the HRR show identical sensitivity between wet- and dry-deposited films, whereas contrast degradation is observed when dry development is initiated by increasing the temperature prior to system pump down. A modified sublimation setup allows for the dry development of exposed HRR samples inside the electron beam tool without breaking vacuum. In this case, nominally patterned 25 nm L/S are identically resolved at 30 keV for wet- or dry-developed HRR.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet (EUV) lithography is crucial to achieving smaller device sizes for next-generation technology, although organic resists face substantial challenges, such as low etch resistance, which limit the resolution of smaller features.
Aim
Evaluate the potential for area-selective deposition (ASD) to improve EUV pattern resolution (e.g., by increasing etch resistance).
Approach
We evaluate thermal compatibility, atomic layer deposition growth rate, and selectivity for TiO2 ASD on various organic EUV resist materials using water contact angle, Rutherford backscattering spectrometry, and X-ray photoelectron spectroscopy. The effects of photo-acid generator (PAG) and EUV exposure on polymer properties and selectivity are considered.
Results
The organic resist materials studied demonstrate thermal compatibility with TiO2 ALD (125°C for 60 min). The TiO2 ALD process from TiCl4 and H2O proceeds readily on poly(tert-butyl methacrylate), poly(p-hydroxystyrene), and poly(p-hydroxystyrene-random-methacrylic acid) polymers, with and without PAG incorporation, in either the as-formed or EUV exposed state. However, TiO2 is inhibited on poly(cyclohexyl methacrylate).
Conclusions
We demonstrate that as-formed EUV resists can serve as either the growth or nongrowth surface during TiO2 ASD, thereby enabling resist hardening and tone inversion applications, respectively. These results serve as a basis for further ASD studies on EUV resist materials to improve pattern resolution in next-generation devices.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Implementation of extreme ultraviolet (EUV) lithography in high-volume semiconductor manufacturing requires a reliable and scalable EUV resist platform. A mechanistic understanding of the pros and cons of different EUV resist materials is critically important. However, most material characterization methods with nanometer resolution use an x-ray photon or electron beam as the probe, which often cause damage to the photoresist film during measurement. Here, we illustrated the use of non-destructive infrared nanospectroscopy [or nano-Fourier-transform infrared spectroscopy (nano-FTIR)] to obtain spatially resolved composition information in patterned photoresist films. Clear evidence of exposure-induced chemical modification was observed at a spatial resolution down to 40 nm, well below the diffraction limit of infrared light. With improvements, such a nano-FTIR technique with nanoscale spatial resolution, chemical sensitivity, and minimal radiation damage can be a promising candidate for the fundamental study of material properties relevant to EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the previous work, we developed a convolutional neural network (CNN), which reproduces the results of the rigorous electromagnetic (EM) simulations in a small mask area. The prediction time of CNN was 5000 times faster than the calculation time of EM simulation. We trained the CNN using 200,000 data, which were the results of EM simulation. Although the prediction time of CNN was very short, it took a long time to build a huge amount of the training data. Especially when we enlarge the mask area, the calculation time to prepare the training data becomes unacceptably long.
Aim
Reducing the calculation time to prepare the training data.
Approach
We apply data augmentation technique to increase the number of training data using limited original data. The training data of our CNN are the diffraction amplitudes of mask patterns. Assuming a periodic boundary condition, the diffraction amplitudes of the shifted or flipped mask pattern can be easily calculated using the diffraction amplitudes of the original mask pattern.
Results
The number of training data after the data augmentation is multiplied by 200 from 2500 to 500,000. Using a large amount of training data, the validation loss of CNN was reduced. The accuracy of CNN with augmented data is verified by comparing the CNN predictions with the results of EM simulation.
Conclusions
Data augmentation technique is applied to the diffraction amplitude of the mask pattern. The data preparation time is reduced by a factor of 200. Our CNN almost reproduces the results of EM simulation. In this work, the mask patterns are restricted to line and space patterns. It is a challenge to build several CNNs for specific mask patterns or ultimately a single CNN for arbitrary mask patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Similar to many other industries, semiconductor manufacturing is undergoing a digital transformation. The wafer fabs have been highly automated for a few years, and data are everywhere, in high volume, heterogeneous, and not always structured. Data analytics for manufacturing is becoming a key competence to be embedded in the daily lives of wafer fabs engineers. Among the wide variety of data, this paper focuses on images and their classification by convolutional neural networks (CNN), which are illustrated by various use cases in the manufacturing environment.
Aim
Practically, in over a year in a wafer fab, millions of pictures are generated. Most of the time these images are treated directly by metrology and inspection tools, and humans eventually look at these only if a measurement alarm (measurement quality or out-of-specification value) is reported. Is there any interest in reviewing all of them? Technically, images are underused data sources of information because they contain a lot of relevant information that is not captured. The return on investment of inspecting all images is highly questionable if the review needs to be done by humans. When done by the operator, this task is time-consuming and prone to human interpretation, which can lead to variability in the result. The aim of this work is to show that CNNs are perfectly adapted to wafer fabs and can take on this workload in a very efficient way.
Approach
Since the middle of the last decade, new tools (software and hardware) have emerged to solve these massive classification needs, including (1) deep learning algorithms and particularly CNNs or region-based CNNs (RCNN), (2) graphical processor unit (GPU) to speed up training time, (3) distributed database to store high volumes of data, and (4) open-source community driven by python eco-systems to support proof of concept build-ups and customized solutions.
Results
This paper will emphasize the integration of these deep learning solutions into the daily life of semiconductor manufacturing with a focus on transfer learning, which provides high versatility of CNNs/R-CNNs solutions with respect to different use cases.
Conclusions
A unique CNN infrastructure has been set up to offer wafer fab engineers a versatile solution adapted to many different kinds of images and use cases to demonstrate that CNN image classification can be smoothly embedded in daily tasks.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Predictive estimates of the final process outcome(s) of multistep, coupled processes can be difficult to make based on data measured at the various process steps. Self-aligned quadruple patterning (SAQP) is an example of such a process where the prediction of pitch-walk is desired at the various process steps.
Aims
Be able to both predict pitch-walk values and the uncertainty in the predicted values at SAQP process steps based on optical critical dimension (OCD) spectroscopy outputs (dimensions, angles, thicknesses, and so on) of mandrel, spacer, and other SAQP features.
Approach
Train a neural network using OCD-modeled values of an SAQP process to be able to predict SAQP pitch-walk at early process steps. Use Bayesian dropout approximation (BDA), a methodology using Bayesian inference with stochastic neural networks, to estimate uncertainty in the predicted SAQP pitch-walk.
Results
Able to predict pitch-walk values, and the uncertainty in the predictions, of the final SAQP structure after the deposition of the first spacer. The pitch-walk predictions become more accurate as OCD information from the bottom mandrel RIE and bottom spacer are added as inputs to the BDA network.
Conclusions
In contrast to a single output value that traditional neural networks would predict, BDA makes an estimated distribution of predictions, where the BDA network gives both a most likely value as well as a distribution of potential values. While this paper shows the power of BDA to predict SAQP pitch walk, it is expected that BDA will be a valuable tool to analyze many data sets in semiconductor manufacturing to help improve yield and performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
TOPICS: Etching, System on a chip, Image processing, Sensors, Lithography, Semiconducting wafers, Distance measurement, Process control, Transistors, Signal to noise ratio
The self-aligned double-patterning (SADP) process is being used extensively to overcome the lithographic resolution limit in the manufacture of integrated circuits. One use case is fin definition in a fin field-effect transistor. Fin cut layers are applied to modify the fins to the requirements of the device designs.
Aim
The traditional secondary electron (SE) imaging exhibits a disadvantage in the process controlling the fin cut layers, and fin damage defects were observed. This work aims to improve the monitoring and controlling capabilities for the process quality of fin cut layers.
Approach
A specially designed fin cut process flow and a backscattered electron (BSE) imaging technique are applied to check the process quality. The patterns formed through the fin cut etch and the fin structures are identified and measured simultaneously in one BSE image.
Results
By measuring the edge-to-edge distance, pitch walking (PW) of fins, and overlay (OV), the root cause of the fin damage is revealed. The linear fitting model and third-order fitting model are applied to reduce the edge placement error (EPE). The edge distance protecting the “at risk” fin is enlarged from 5.6 to 11.6 nm. The range of the distance is reduced from 11.6 to 8.1 nm, and the improvement in standard deviation is about 33%.
Conclusions
This work shows the capability of the BSE imaging technique in the characterization of fin cut layers and the potential in process window improvement restricted to fin damage defects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Algorithmic breakthroughs in machine learning (ML) have allowed increasingly more applications developed for computational lithography, gradually shifting focus from hotspot detection to inverse lithography and optical proximity correction (OPC). We proposed a pixelated mask synthesis method utilizing deep-learning techniques, to generate after-development-inspection (ADI) contour and mask feature generation.
Aim
Conventional OPC correction consists of two parts, the simulation model which predicts the expected contour signal, and the correction script that modifies the actual layout. With practicality in mind, we collected modeling wafer data from scratch, then implemented ML models to reproduce conventional OPC actions, mask to contour prediction, and design to mask correction.
Approach
Two generative adversarial networks (GANs) were constructed, a pix2pix model was first trained to learn the correspondences between mask image and paired ADI contour image collected on wafer. The second model is embedded into machine learning mask correction (ML-OPC) framework, output mask is optimized through minimizing pixel difference between design target and simulated contour.
Results
Two different magnification SEM image datasets were collected and studied, with the higher magnification showing better simulator pixel accuracy. Supervised training of the correction model provided a quick prototype mask synthesis generator, and combination of unsupervised training allowed mask pattern synthetization from any given design layout.
Conclusions
The experimental results demonstrated that our ML-OPC framework was able to mimic conventional OPC model in producing exquisite mask patterns and contours. This ML-OPC framework could be implemented across full chip layout.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Computational lithography and resolution enhancement techniques
On-product overlay (OPO) is an important indicator of device yield. In this work, we show that stressed thin films used in semiconductor manufacturing can be an important contributor to OPO at multiple length scales. Depending on the stress level, film thickness, and the mask design, the overlay impact can be a few nanometers for the exposure of the next lithography layer. A predictive compact model based on pattern density is developed to accurately predict this overlay impact. The model is then verified using short-loop dual damascene wafers with stress split. The predictive model opens a new opportunity for model-based mask correction during optical proximity correction to increase the overlay margin for subsequent lithography exposures.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extending 0.33 NA extreme ultraviolet single patterning to 28-nm pitch becomes challenging in stochastic defectivity, which demands high-contrast lithographic images. The low-n attenuated phase-shift mask (attPSM) can provide superior solutions for individual pitches by mitigating mask three-dimensional effects. The simulation and experiment results have shown substantial imaging improvements: higher depth of focus at similar normalized image log slope and smaller telecentricity error values than the best binary mask configuration. In this work, the exploration of low-n attPSM patterning opportunity for pitch 28-nm metal design is investigated. Using generic building block features, the lithographic performance of the low-n attPSM is compared with the standard binary Ta-based absorber mask. In addition, the impact of mask tone (bright field (BF) versus dark field) on the pattern fidelity and process window is evaluated both by simulations and experiments. The results indicate that BF low-n attPSM provides the best patterning performance. Consequently, the BF low-n attPSM patterning performance is assessed with an actual imec N3 pitch 28-nm random logic metal design. The wafer data indicate BF low-n attPSM enables good patterning fidelity, as well as good overall process window with high exposure latitude (∼20 % ).
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Optical proximity correction (OPC) is an indispensable technology that has been propelling the advancement of computational lithography technology. To tightly control edge placement error (EPE) and maintain lithography process window, the demands on OPC computational resources and OPC turnaround time are growing rapidly with alarming acceleration. To tame the trend, machine learning technologies have been explored; however, an in-depth discussion on OPC solution learning limit is still lacking.
Aim
We aim to present an in-depth discussion on OPC solution learning limit and propose a general machine learning OPC framework that can be extended to curvilinear mask OPC technology.
Approach
In this study, we first investigate the machine learning OPC learning limit by examining noninverse lithography technology (non-ILT) OPC solution space characteristics inherited from edge segmentation and control point setting rules and then propose a general machine learning OPC framework that can take full advantage of deep convolution neural network (DCNN) learning capability while being able to preserve mask data high resolution.
Results
With this machine learning OPC framework, we have achieved models with average absolute model error <1 nm for 14-nm metal layer. With single GPU, the average time for machine learning OPC models to produce results of 3840 nm × 3840 nm area is 8.74 ms for single channel input model and 12.65 ms for six channels input model.
Conclusions
For non-ILT OPC solution, there is an intrinsic learning limit inherited from edge segmentation rules. Machine learning OPC models should be content with learning low order OPC solutions. This intrinsic learning limit of non-ILT OPC solution may diminish for ILT OPC solution when the constraint on degrees of freedom of OPC solution is lifted. The machine learning OPC framework we proposed is general and extendable to curvilinear OPC technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In advanced technology nodes, forbidden pitches (FPs) can reduce the depth of focus and limit the overlapped process window of lithography. In extreme ultraviolet (EUV) lithography, one pattern in a different orientation or different position of arc slit field will have a different shadowing effect, due to the chief ray angle and azimuthal angle of the incident light. Therefore, it is necessary to mitigate the FP effect of EUV lithography.
Aim
With the purpose of mitigating the phenomenon of FPs in the arc slit exposure field, we propose an optimization method based on a genetic algorithm (GA).
Approach
The optimization method is derived from the basic flow of the GA. Three mask parameters are selected as the variables, including the mask bias and the width and position of the assist feature. The cost function is designed to evaluate the process window, normalized image log slope, and contrast of different fields in the arc slit. After multiple iterations, an optimal combination of three variables is obtained.
Results
The simulation results show that the optimized mask structure given by the proposed method in the arc slit exposure field can improve the process window in the horizontal and vertical orientations, respectively.
Conclusions
The limitation of FPs to the process window is removed using mask optimization based on the GA. The proposed optimization method has the potential to be an effect candidate for FPs mitigation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The development of optical lithographic technology made important contributions to miniaturization. In optical lithography, it is critical to maintain high uniformity and high resolution of patterning on a silicon substrate by exposing the substrate to ultraviolet (UV) light. However, lens contamination limits the uniformity of the exposed UV light, and the effect of lens contamination on the critical dimension is increasing as electronic devices become smaller. Lens contamination can be generated by turbulence of clean air (CA) and it gradually accelerates over time. In this work, we suggest the extreme clean dry air (XCDA) shield system to reduce lens contamination in optical lithography. We have measured and analyzed the contaminants of a practical lithography lens through time-of-flight secondary mass spectrometry, and the expected contamination mechanism is also shown. Also, we simulated turbulence of CA in practical lithography based on the shield k-epsilon turbulence model. Turbulence simulations not only quantitatively showed the effects of XCDA and CA on lens contamination but also demonstrated that the lens could be directly purged with the XCDA shield system to prevent turbulence of CA. The XCDA shield system reduced the degree of contamination by 33% compared with the conventional level. We believe that the proposed system will provide high efficiency in the optical lithography industry.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Characterizing chemical changes in photoresists during fabrication processes is critical to understanding how nanometric defects contribute to film stochastics. We used nanoprojectile secondary ion mass spectrometry (NP-SIMS) to evaluate the nanoscale homogeneity of components in positive-tone extreme ultraviolet resists. NP-SIMS was operated in the event-by-event bombardment/detection mode, where a suite of individual gold nanoprojectiles separated in time and space stochastically bombard the surface. Each impact ejects secondary ions from a volume 10 to 15 nm in diameter and up to 10 nm in depth allowing for analysis of colocalized moieties with high spatial resolution. Individual partially exposed extreme ultraviolet resists were analyzed after light exposure, postexposure bake, and development. Results showed an expected increase in protonated quencher versus exposure dose, while after development, we observed increased abundance in the remaining film. The latter, we attribute to poor solubility in the developing solvent. Examining the photoacid generator (PAG), we found decreased PAG cation abundance versus exposure dose in the exposed films, likely due to photodecomposition of the PAG cation. Moreover, after the development, we observed decreased homogeneity of PAG ions, which we attribute to preferential extraction caused by ion-exchange interactions with the developer. We found that the insoluble moieties persisting on the surface after the development were relatively rich in the protecting group, likely due to uneven deprotection of the polymer. Overall, NP-SIMS allows to characterize the resist at the nanoscale and identify conditions that lead to defect formation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Here, we present a methodology for identifying and characterizing nanoscale sites in extreme ultraviolet (EUV) photoresists that deviate from the mean composition by 3σ. The methodology is based on nano-projectile secondary ion mass spectrometry (SIMS) operating in the event-by-event bombardment detection mode. Nanoscale analysis is achieved by probing the surface stochastically with a suite of individual nanoprojectile impacts in which each nano-projectile samples a volume that is 10 to 15 nm in diameter and up to 10 nm in depth. For each impact, the coemitted secondary ions are collected and mass-analyzed, allowing for the analysis of colocalized moieties. We applied this technique to study the fundamental processes occurring in partially developed positive-tone EUV resists, which simulate a critical problem in EUV resists, incomplete resist removal, and production of line edge features. Such features erode device yield and have been the focus of many previous studies. Using NP-SIMS, we examined the changing molecular composition in the partially developed resist and isolated measurements with a probability below 0.3%. Grouping measurements based on the number and type of detected molecular species allowed for the identification of rare sites on the surface that deviate from the mean composition. The mass spectrometry measurements showed that both the photoacid generator (PAG) cation and anion displayed decreased homogeneity on average with increasing exposure dose. The effect was more pronounced in the sites with probabilities below 0.3%, where the measured intensity of the PAG-related ions in these sites was more than twofold larger than the mean. Thus, we attribute these nanoscale sites to aggregations of PAG within the top 10 nm of the remaining film. These results suggest that identifying and characterizing the molecular composition of rare sites may be important in defect production and film stochastics.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
This study investigates the impact of 0.55-numerical aperture (NA) imaging on wafer defectivity when multilayer ripple is present in the extreme ultraviolet (EUV) mask.
Aim
We investigate the impact of 0.55-NA anamorphic imaging on one-dimensional (1D) and two-dimensional (2D) horizontal and vertical mask feature deficiencies on wafer defectivity. The information in the study is intended to guide experimentation to aid in setting standards for EUV mask blanks in 0.55-NA lithography.
Approach
This study stochastically simulated 1D and 2D horizontal and vertical features using an extreme ultraviolet mask with varied random multilayer ripple configurations. The photoresist critical dimension (CD) was measured from the simulated wafer and used to generate statistical analysis of the simulation.
Results
Horizontal 1D features show an ∼2.5 × improvement in failure ratio versus vertical 1D features. Vertical 2D features appear to have a lower failure ratio compared with 2D horizontal features, but the difference is not as clear as found in the 1D case. The light scattered from the leaf shaped illuminator into the pupil background region due to multilayer ripple from 2D features appears smaller than in the 1D case. Potentially mask deficient structures may benefit from orientating the CD vertically on an anamorphic system to reduce wafer level defectivity.
Conclusions
There appears to be a multilayer ripple saturation level for 1D and 2D features at which defectivity and CD variation become constant. Horizontal imaging appears to be preferable for all CDs. The roughness threshold computational shortcut to mask deficiency characterization may not be sufficient for 2D features. The 2D analysis in this study does not change previous mask standard suggestions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
With the introduction of high-numerical aperture (NA) extreme ultraviolet (EUV) lithography, the thickness of layers in the lithographic stack will scale owing to reduced depth of focus and etch budget. The consequence of thinning down underlayers for EUV lithography has been scarcely investigated. In here, we assessed the readiness of nine state-of-the-art underlayers, spin-on and dry deposited, in thickness series down to 4 nm nominal. Preliminarily, the coating quality of these underlayers was evaluated. Thickness uniformity across 300 mm wafer ranged from about ±0.5 nm to < ± 0.05 nm depending on the coating technique employed. Surface roughness of the underlayers varied from as much as 0.63 nm to as low as 0.062 nm but was not impacted by thickness scaling. Film density and total surface energy varied by <10 % with thickness. EUV lithography of dense lines/spaces arrays of pitch 28 nm was carried out using a positive tone chemically amplified photoresist. Dose-to-size and exposure latitude changed by < ± 5 % when thickness of underlayer was decreased. Failure free process was at most 1 nm smaller for thinner underlayer than it was for the thinnest version of each type. The unbiased linewidth roughness increased consistently but limitedly (<5 % ) when thinner underlayers were used, mainly due to a reduction in the correlation length. By calculating the power spectral density of the blanket underlayer we can pinpoint this effect to a reduction of correlation length of the underlayer own surface roughness. Finally, Z-factor calculations demonstrated that overall photoresist performance depended more significantly on the specific underlayer type (±12.6 % ) than it did on underlayer thickness (±8 % ). All these results indicate that most of underlayers investigated had limited impact on the properties as well as the patterning performance when scaling in view of high NA EUV.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To enable printing of smaller feature sizes, lithography has progressed into the extreme ultraviolet lithography (EUVL) regime. Alongside the wavelength scaling, a reduction in resist film thickness (FT) is observed to avoid large aspect ratios that would lead to pattern collapse. The further progression to high numerical aperture (NA) EUVL will require a further reduction in resist FT moving toward an ultra-thin film regime (<30-nm resist FT). This reduction in resist FT will make the interfacial interactions between resist and underlayer more dominant, potentially influencing material behavior and making resist design challenging.
Aim
We assess a reflow process as a means to investigate interfacial effects and in this way deconvolute the correlation between resist line volume, interfacial effects, and the reflow temperature (TR), defined as the temperature at which the resist line starts broadening, which is indicative of the glass transition temperature (Tg).
Approach
We pattern a model EUV chemically amplified resist at different nominal resist FTs and different critical dimensions (CDs) and half-pitch (HP) combinations to quantify changes in the TR.
Results
The TR increases with the inverse of the CD, as well as the inverse of the resist FT. Moreover, the TR also scales with the area ratio (the ratio of the area in contact with the ambient to the area in contact with the underlayer).
Conclusions
A linear relationship between TR and its volume factor (CD × FT) normalized for the area ratio (area in contact with the ambient to the area in contact with the underlayer) is found, revealing a combined dependency on line volume and interfacial interactions. This opens the potential for the use of the reflow methodology in investigating interfacial interactions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
An incredible increase in the integration of electronic chips has pushed the semicon industries to endorse high numerical aperture (h-NA ∼ 0.5), extreme-ultraviolet (EUV) lithography (EUVL) (λ ∼ 13.5 nm) at the commercial scale. Induction of h-NA postulates EUV resists that could outperform the resolution, line pattern roughness, and sensitivity (RLS) trade-off for chip fabricators, which is currently extremely limited.
Aim
The development of EUV resist to balance RLS trade-off as well as overcome throughput limitations of h-NA EUV system to facilitate high volume semiconductor manufacturing.
Approach
Here, we developed indium-methacrylic acid-based metal-organic clusters resist for h-NA, EUVL. To examine the h-NA single exposure patterning potential of the resist, prescreening by sub-10 nm next-generation lithography (NGL) tools such as electron beam lithography (EBL), and helium ion beam lithography (HIBL) were conducted as a prelude to EUV exposure.
Results
Dense ∼13 nm, (l/s) patterns at ∼45 and ∼30 μC / cm2 were well resolved by EBL and HIBL, on the top this the line edge roughness (LER) was 2.48 ± 0.04 nm, and etch resistance ∼1.98 and ∼0.34 times lower than Si and SiO2 / Si systems. Also, In-MAA MOCs resist shows ultra-sensitivity of 2.3 mJ / cm2 towards h-NA EUVL for patterning up to 26 nm half-pitch line patterns with LER ∼2.36 ± 0.16 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
To keep up with the logic area scaling, back-end-of-line (BEOL) structures are reduced to smaller pitches, requiring faster and reliable metrology and defect detection solution.
Aim
Metrology and detailed defect inspection at the early phases of process optimization.
Approach
Single exposure (SE) 0.33NA extreme ultraviolet (EUV) lithography was used along with a bright field mask for patterning in a metal damascene process flow of BEOL structures. We also used a dual damascene process flow for voltage contrast (VC) metrology study.
Results
Scatterometry technique, together with machine learning (ML), allowed us to have fast and accurate measurements of line-space (LS) and tip-to-tip (T2T) critical dimension (CD), and prediction of electrical performance. We demonstrated characterization results of stochastic defects across various test structures of 28-nm pitch devices. We used a large-area electron beam (e-beam) tool for high-speed large-area inspection which provided us with the quick feedback on defect signatures and scope for further root cause analysis. On a separate dual damascene integration flow, we used VC metrology to capture different failure modes in the patterning process of metal trenches with tight T2T and corresponding overlapping vias.
Conclusions
We studied the impact of stochastic resist defects on electrical measurements of meander (MR) and fork-fork (FF) structures, and showed that large electrical test structures, built with a relatively simple patterning flow, can be used at the early stages of resist and patterning development, as the electrical failures are almost exclusively caused by the resist defects. In the dual damascene flow, we demonstrated that VC metrology is useful to determine the design rule parameters and capture different failure mechanisms.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.